Remanent

This function block is used to save signal values persistently in a specific remanent memory, to be able to recreate these values if the PLChad to shut down.

With every block call, a checkup takes place, whether the value at the input “Value” coincides with the content of the remanent memory (shadow buffer). If it coincides, a HIGH signal is provided at the output bSaved. If the value does not coincide, it is immediately written if the last write cycle took place longer than 5 seconds ago. However, if the passed time period is shorter, a LOW signal is given out until the 5 seconds have passed,to signal that the value has not yet been transferred correctly. (Previous versions wrote every 5 seconds and only gave out a HIGH signal when saving)

Another difference to older controllers ofthe STG series is the bigger remanent memory of 128 Byte. (Previous version: 32 Byte)

Each block reserves space according to its data type from this memory. If a function block tries to allocate memory beyond that maximum space, an error message will be given and the download of the program will be aborted.

Every download of a program to the PLC will reset the whole remanent memory.

During restarting process of the system, the value from the remanent memory is written back to the memory address of the input “Value” one time at the first function block call. (Previous versions only wrote back the value during the very first program cycle)

Note

The remanent blocks write into an EEPROM memory which has a limited number of write cycles.
(For binding information please refer to the manual for the specific controller.)

Signals

Name I/O Type(s) Function
Value I/O BIT, WORD, LONG, FLOAT signal input
bSaved O BIT Signaling: Input signal and value coincide in the remanent memory

Visualization

If the function block cannot allocate its remanent memory, it will be depicted red in run mode.

Comment

The data type of the function block will be set by connecting the input Value.

This function block will only save single values. If a vector is connected to input Value, only the first element will be saved and a warning message will be given.